Ihoseyili yePanasonic SMT Chip Mounter NPM-DX Manufacturer kunye noMboneleli |SFG
0221031100827

Iimveliso

Panasonic SMT Chip Mounter NPM-DX

Inkcazelo emfutshane:

Ukugqithisa komgca, umgangatho ongcono kunye neendleko eziphantsi kunye nomgangatho wenkqubo yokufakela ezenzekelayo


Iinkcukacha zeMveliso

Iithegi zeMveliso

19

Isizukulwana esilandelayo sePanasonic sokuvelisa imveliso (X series) concept

"Ukwenziwa ngobuchule"

Ukugqithisa komgca, umgangatho ongcono kunye neendleko eziphantsi kunye nomgangatho wenkqubo yokufakela ezenzekelayo

Iimbonakalo

Ukusebenza okuzinzile ngokusekelwe kumsebenzi wokuzimela - Ukulawulwa komgca ozimeleyoInkqubo ye-APC kunye nenketho yokubuyisela ngokuzenzekelayo

Ukonga abasebenzi, ukusetyenziswa okuphuculweyo – Ulawulo olugxininisiweyoInkqubo yolawulo lomgangatho kunye nenketho yokusebenza ekude

Iinguqu zomsebenzi ezincitshisiweyo - Ukukhangela / izinto ezizenzekelayoUkuseta i-Feeder navigation, ukuhanjiswa kwecandelo lokubonelela kunye nezinto ezizenzekelayo

20

Ukonyuka kweMveliso/uMgangatho

Imowudi echaneke kakhulu IVALIWE

Isantya esiphezulu : 184 800cph*IPC9850(1608) : 130 000cph*Ukuchaneka kokubekwa : ±25 μm

Imowudi yokuchaneka okuphezulu IVULIWE

Isantya esiphezulu : 108 000cph*IPC9850(1608) : 76 000cph*Ukuchaneka kokubekwa : ±15 μm

21*Ubuchule be16NH × 4 intloko

Ukuphuculwa kwamandla okuxhasa amacandelo

22

Ufakelo olusemgangathweni lwemisebenzi emitsha yokusebenza ngcono (iimfuno zabasebenzi ezincitshisiweyo)

23

Ukubandakanywa kwemisebenzi emininzi eluncedo ukunciphisa umthwalo womsebenzi njengomgangatho

Umyalelo wecandelo lokufundisa ngaphambi kokuba uqale ukusebenza

24

Ikhupha amacandelo apho ukufundisa okuzenzekelayo kungenakukwazi ukwenza ngaphandle kokuzixilonga kwinqanaba lemveliso kunye nokubonisa isikrini senkxaso yokuqalisa emva kokutshintsha.

Isilumkiso malunga nesehlo sokungxama kombhobho

25

Iqikelela ukudinwa ngaxeshanye kwamacandelo ahlukeneyo (ungxamiseko) kwaye yazise umqhubi welo ngxakeko (isilumkiso: isicelo senkxaso)Ngesiqhelo, ibonisa ubude bexesha phambi kokuba ukudinwa kwecandelo elilandelayo kwenzeke kwisikrini.

Ukuthatha ingqikelelo kunye nokuhambelana NPM series

Ukwenziwa kwedatha, inqwelo yesondlo (i-17-slot) , i-tape feeder kunye nombhobho ziyahambelana ne-NPM seriesUkuthatha ingqikelelo ye-NPM series Uqhagamshelwano lwelayini kunye ne-NPM-D kunye ne-NPM-TT series yenziwe

26

27

*I-L-ubungakanani obunye bufumaneka ngokwahlukeneyo, ngokuxhomekeke kubungakanani becandelo.

Iteyiphu ezenzekelayo yokudibanisa iyunithi

Izenza ngokuzenzekelayo ukudityaniswa kweteyiphu yobubanzi obuyi-8 mm (iphepha/ibossed).

28

Ukuthatha ingqikelelo kunye nokuhambelana NPM series

29

Inkqubo yeAPC

APC-FB*1Impendulo kumatshini wokushicilela

·Ngokusekelwe kwidatha yomlinganiselo ohlalutyiweyo ovela kuhlolo lwe-solder, ilungisa iindawo zokuprinta.(X,Y,θ)

30

APC-FF*1Impendulo kumatshini wokubekwa

·Ihlalutya idatha yomlinganiselo wesikhundla somthengisi, kwaye ilungisa iindawo zokubeka icandelo (X, Y, θ) ngokufanelekileyo.Iinxalenye zeChip (0402C/R ~)Ipakeji yecandelo (QFP, BGA, CSP)

31

I-APC-MFB2Feedforward ukuya kwi-AOI /Impendulo kumatshini wokubekwa

·Uhlolo lwesikhundla kwi-APC offset position

·Isistim ihlalutya idatha yomlinganiso wecandelo le-AOI, ilungisa indawo yokubeka (X, Y, θ) , kwaye ngaloo ndlela igcina ukuchaneka kokubeka.Ihambelana namacandelo e-chip, amacandelo e-electrode asezantsi kunye namacandelo elothe*2

32

*1 : I-APC-FB (impendulo) /FF (i-feedfor) : Umatshini wokuhlola we-3D wenye inkampani unokudityaniswa.(Nceda ubuze ummeli wakho wasekuhlaleni wentengiso ngeenkcukacha.)*2 : APC-MFB2 (i-mounter feedback2) : Iintlobo zecandelo elisebenzayo ziyahluka ukusuka kumthengisi we-AOI ukuya komnye.(Nceda ubuze ummeli wakho wentengiso wengingqi ngeenkcukacha.)

Inketho yokubuyisela ngokuzenzekelayo-Indawo yokuthatha fundisa ngokuzenzekelayo xa kukho impazamo

Xa impazamo yokuthatha/ukuqaphela yenzekile, umatshini ulungisa ngokuzenzekelayo indawo yokupakisha ngaphandle kokuyeka, kwaye uqalise kwakhona ukuvelisa.Oko kuphucula izinga lokusebenza komatshini.(Amacandelo: 4 mm embossed (emnyama) / 8 mm iphepha/embossed (emnyama) icandelo le tape. iteyiphu (i-transparency) ayixhaswanga.)

Qalisa ngokuzenzekelayo imveliso emva kokufundisa indawo yokulanda

33

Inketho yokubuyisela ngokuzenzekelayo-Ukuphinda uthabathe icandelo lempazamo (zama kwakhona)

Kwimeko yempazamo yokulanda, zama kwakhona ukuchola ngaphandle kweteyiphu yokutya.Inciphisa amacandelo okulahla.

Kwimeko yempazamo: phinda uthathe (zama kwakhona) kwindawo yangoku*Akukho tape feed

34

Akukho nxalenye yokulahla ngenxa yokuba iteyiphu ayityiswa.*

□ Xa ukuphinda kuthathwe (uzama kwakhona) kuphunyeziwe, impazamo ayibalwa □ Inani lokuphinda ukhethe (zama kwakhona) amanani anokusetwa.

* : Xa ukuthathwa kwakhona (uzama kwakhona) kuphumelele.

Inketho yokubuyisela ngokuzenzekelayo-Ukubuyisela ukubuyisela ngokuzenzekelayo (ulawulo oluqikelelweyo)

I-LNB ihlalutya ngokuzenzekelayo ukuguquguquka kwesantya sokuthatha / ukuqaphela impazamo kwaye iyalele umatshini ukuba enze ukufundisa ukuthintela ukuyeka impazamo yomatshini.

35

Inketho yokusebenza ekude

Ukubuyiswa ngokusebenza okude kuyafumaneka kwimpazamo ekunokwenziwa ukubuyisela kwakhona ngokusekelwe kwisigwebo somntu yedwa.Oku kwenza ukuba kugxininiswe esweni phantsi, ukuphelisa ixesha elilahlekileyo ukuze umqhubi abone impazamo kwaye athathe amanyathelo afanelekileyo, ukunciphisa ukubuyiswa kwempazamo. ixesha, kwaye ngaloo ndlela kuzuzwa ukonga abasebenzi kunye nokuphuculwa kwezinga lokusebenza.

36

Ukukhangela-Ukhetho lokuseta i-Fieder navigator

Sisixhobo senkxaso ukuhamba ngenkqubo yokuseta ngokufanelekileyo.Izixhobo zesixhobo kwinani lexesha elithathayo ukwenza kunye nokugqiba imisebenzi yokumisela xa kuqikelelwa ixesha elifunekayo kwimveliso kunye nokubonelela umqhubi imiyalelo yokumisela.Oku kuya kubona kwaye kuhlanjululwe imisebenzi yokucwangcisa ngexesha lokusekwa komgca wokuvelisa.

Ukukhangela-Ukhetho lokubonelela ngesixhobo sokukhangela

Isixhobo senkxaso sobonelelo lwecandelo esijonga izinto eziphambili ngokubonelela ngecandelo.Iqwalasela ixesha elishiyekileyo de kuphele icandelo kunye nendlela esebenzayo yokunyakaza komsebenzisi ukuthumela imiyalelo yonikezelo lwecandelo kumsebenzisi ngamnye.Oku kuphumeza unikezelo lwecandelo olusebenzayo.

37

38

39

*I-PanaCIM iyadingeka ukuba ibe nabaqhubi abajongene nokubonelela ngamacandelo kwimigca emininzi yokuvelisa.

Ukugcinwa kwentloko yokubeka

Ukusetyenziswa kakuhle kwenziwa ngumatshini wokuzixilonga umatshini wokufumanisa ngokuzenzekelayo ixesha lokugcinwa kwentloko yokubeka.Ukongezelela, iyunithi yokugcina ingasetyenziselwa ukugcina intloko yokubeka kwindawo yokusebenza ngaphandle kokufuna izakhono.

Isikhangeli somthwalo(Phantsi kophuhliso)

Ilinganisa "umthwalo wokungeniswa" obekwe yintloko yokubeka, kwaye, njengesixa sotshintsho kwixabiso lereferensi, ubonisa umphumo olinganisiweyo kwimonitha yomatshini okanye i-LNB.

Iyunithi yokugcina intloko

Ukwenza ngokuzenzekelayo ukuhlolwa nokugcinwa kwentloko yokubeka.

40

Umsebenzi wokuxilongwa kwentloko (Phantsi kophuhliso)

Ihlola imeko yesekethe ye-pneumatic

Ukufunyaniswa kwempazamo yokuvuthela *1

Ijonga ubume bokuvuthela

*1: Lo msebenzi ufika umgangatho kunye nomatshini

Ukugcinwa kwesondlo

Ingaxhomekekanga kwisakhono somsebenzisi, iyunithi yokugcinwa kwesondlo ngokuzenzekelayo yenza uhlolo lwendlela yokusebenza kunye nokulinganisa.Ukusetyenziswa kwayo okudibeneyo kunye nemodyuli yokulondolozwa kwePanaCIM kunokuthintela ngokuzenzekelayo ukufakwa kwe-feeders engahambelaniyo kwimveliso.

Iyunithi yokugcina isondlo

Izenza ngokuzenzekelayo ukuhlolwa kweendawo eziphambili ezichaphazela ukusebenza kwe-feeder kunye nokulinganisa indawo yokupakisha.

41

Thin-uhlobo oluncamatheleyo feeder enye

Udidi oluThin oluncamathelayo lwesondlo esinye*2(ukhetho)

*2:"I "Thin Feeder yeteyipu enye" ​​kunye "ne-Autoload feeder (Phantsi kophuhliso) "ifuna "Ijig enkulu yohlobo olucekethekileyo lwe-feeder enye" ​​kunye "Nesincamathiseli sohlobo olucekethekileyo lwesondlo esinye".

Ukugcinwa kwePanaCIM

Ulawula ii-asethi zomgangatho onyukayo, ezinje ngoomatshini, iintloko kunye ne- feeder, wazisa ii-asethi xa zisondela kumhla wazo wolungiso, kunye neerekhodi zeembali zokulondolozwa.

Umsebenzi we-Interlock

·Ubeka iliso kwisimo seempazamo ngexesha lemveliso, kwaye usebenzisa i-Interlock kwi-feeders enesiphene

·I-Interlock yabondli abagwetywe ngokungahambelani ne-IFMU

Ikhono lokutshintsha-Ukhetho lokutshintsha oluzenzekelayo

Ukuxhasa utshintsho (idatha yemveliso kunye nokulungiswa kobubanzi bomzila) kunokunciphisa ukulahlekelwa kwexesha

42

• I-ID ye-PCB yokufunda-ngohlobo lomsebenzi wokufunda-ngaphakathi we-PCB uyakhetheka phakathi kweentlobo ezi-3 zeskena sangaphandle, ikhamera yentloko okanye ifom yokucwangcisa.

43

I-M2M – iLNB* (Imodeli enguNo.NM-EJS5B)

Ulawulo oluhlangeneyo lomgca wakho aluqulunqwanga kuphela koomatshini bePanasonic kodwa abathengisi besithathu 'ngePC enye inika inkxaso kwimveliso yakho yokwenene, ulawulo lomgangatho kunye nokucubungula.I-Panasonic ilungele ukuthatha ujongano phakathi koomatshini bayo kunye nabathengisi besithathu.

44

Into

Panasonic

Non-Panasonic

Ukuqokelelwa kolwazi / umboniso

Utshintsho oluzenzekelayo

*Ukufumana iinkcukacha, bhekisa kwikhathalogu okanye iinkcukacha zenkqubo ehlanganisiweyo yolawulo “iLNB.”

Uluhlu lomsebenzi

Umsebenzi

Iinkcukacha

1Utshintsho oluzenzekelayo

00001.Ukubhaliswa kweresiphi yokutshintsha okuzenzekelayo

00002.Umgca utshintsho oluzenzekelayo

00003.Ukubeka esweni utshintsho oluzenzekelayo

00004.Line esweni ukusebenza

I-2E-Link(igalelo lolwazi)

00001.Khuphela / uhlele ishedyuli

I-3E-Link (Imveliso yolwazi)

00001.Isiphumo solwazi lomsebenzi

00002. Landela imveliso yolwazi

00003.Imveliso yesimo somatshini

4E-Link(Ulawulo lomatshini)

I-00001.I-interlock yomatshini, ulawulo lokuqalisa kweMveliso

I-5E-Link (I-Feeder bhala)

00001. Ukubhalwa kwedatha yecandelo ngenkqubo yangaphandle

6Nxibelelwano umsebenzi(GEM・PLC)

00001.SECS2/GEM unxibelelwano

00002.OPC unxibelelwano

00003.IO/RS-232C unxibelelwano

*I-iLNB iquka isoftware kunye nekhompyutha (iLNB PC).I-PLC PC, i-PLC yokuguqula unxibelelwano, kunye nezinye izixhobo kufuneka zilungiswe ngabathengi.

M2M – PCB Ulwazi loNxibelelwano FunctionAOI Ulwazi LokuBonisa Option

I-NPM kwintloko yomgca iqaphela amanqaku, kwaye ibheka phambili iphawule ulwazi ukuya kwii-NPM ezisezantsi.Oko kuphelisa imfuno yee-NPM ezisezantsi ziqaphele amanqaku.

Isihloko sonxibelelwano

Ukuqondwa kakubi kwamanqaku

45

Uphawu olubi luskenwa kumatshini wokuqala.

Ukuqaphela uphawu lwepateni

46

Onke amanqaku abonwa kumatshini wokuqala kwaye oomatshini abasezantsi baqaphela amanqaku aphambili kuphela.

*Nceda ujonge “kwiSpecificationbooklet” ngeenkcukacha.

47

Ulwazi kumacandelo agwetywe yi-NG yi-AOI iboniswa kwi-AOI nakwi-NPM.

48

I-AOI isetyenziselwa ukukhomba i-NPM ekujoliswe kuyo

I-NPM ekujoliswe kuyo ibekwe kwimeko yesilumkiso, kwaye ulwazi oluvela kwi-AOI luboniswa kwisikrini

Inkqubo yokuDala iDatha – NPM-DGS (Imodeli No.NM-EJS9A)

Le yiphakheji yesoftware ebonelela ngolawulo oludibeneyo lwelayibrari yecandelo kunye nedatha yePCB, kunye nedatha yemveliso eyandisa imigca yokunyuka kunye nokusebenza okuphezulu kunye ne-algorithms yokuphucula.

*1:Ikhompyutha kufuneka ithengwe ngokwahlukeneyo.*2:NPM-DGS inemisebenzi emibini yolawulo yomgangatho kunye nomgangatho womgca.

49

CAD yokungenisa

50

Ikuvumela ukuba ungenise idatha yeCAD kwaye ujonge i-polarity, njl., kwisikrini.

Ukuphucula

51

Iqonda imveliso ephezulu kwaye ikuvumela ukuba wenze uluhlu oluqhelekileyo.

Umhleli wePPD

52

Hlaziya idatha yokuvelisa kwi-PC ngexesha lokuvelisa ukunciphisa ukulahlekelwa kwexesha.

Ithala leencwadi

53

Ivumela ulawulo olumanyeneyo lwecandelo lethala leencwadi elibandakanya ukunyuswa, ukuhlolwa kunye nokuhanjiswa.

Inkqubo yokuDala iDatha-Ikhamera eNgasebenzanga (ukhetho)

Idatha yecandelo inokudalwa ngaphandle kweintanethi nangona umatshini usebenza.

Sebenzisa ikhamera yomgca ukwenza idatha yecandelo.Iimeko zokukhanyisa kunye nesantya sokuqaphela sinokuqinisekiswa kwangaphambili, ngoko kunegalelo ekuphuculeni imveliso kunye nomgangatho.

54Iyunithi yeKhamera engaxhunyiwe kwi-intanethi

Inkqubo yokuDala iDatha-DGS Automation (ukhetho)

Imisebenzi yesiqhelo eyenziwa ngesandla inciphisa iimpazamo zokusebenza kunye nexesha lokudala idatha.

Ngokusebenzisana kunye nenkqubo yabathengi, imisebenzi yesiqhelo yokudala idatha inokuncitshiswa, ngoko igalelo ekunciphiseni kakhulu ixesha lokulungiselela imveliso. Kwakhona kubandakanya umsebenzi ukulungisa ngokuzenzekelayo ulungelelwaniso kunye ne-angle ye indawo yokunyuka (Virtual AOI).

Umzekelo womfanekiso wenkqubo yonke

55

Imisebenzi ezenzekelayo (isicatshulwa)

·CAD yokungenisa

·Useto lwamanqaku e-Offset

·PCB chamfering

·Ulungiso lolungelelwaniso lwendawo yokunyuka

·Ukudala imisebenzi

·Ukuphucula

·Imveliso yePPD

·Khuphela

Inkqubo yokuDala iDatha-ULungiso lokuseta (ukhetho)

Kwimveliso ebandakanya iimodeli ezininzi, ukuseta imithwalo yomsebenzi ithathelwa ingqalelo kwaye iphuculwe.

Ngaphezulu kwe-PCB enye yokwabelana ngokubekwa kwecandelo eliqhelekileyo, ukuseta ezininzi kunokufuneka ngenxa yokunqongophala kweeyunithi ze-suppy.Ukuze kuncitshiswe umthwalo ofunekayo wokuseta kwimeko enjalo, olu khetho lwahlula ii-PCBs zibe ngamaqela okubekwa kwamacandelo afanayo, khetha itafile. s) ukuseta kwaye ngaloo ndlela izenzele umsebenzi wokubekwa kwecandelo. Inegalelo ekuphuculeni ukusebenza kolungiselelo kunye nokunciphisa ixesha lokulungiselela imveliso yokwenziwa kwabathengi beentlobo ngeentlobo zeemveliso ngokwemiyinge emincinci.

Umzekelo

56

Inketho yoQinisekiso lwecandelo -Isikhululo senkxaso sokuseta ngaphandle kwe-intanethi

Ithintela iimpazamo zokuseta ngexesha lotshintshoIbonelela ngokwanda kokusebenza kwemveliso ngokusebenza lula

57

*Iiskena ezingenazingcingo kunye nezinye izincedisi ziya kubonelelwa ngabathengi

·Ithintela ngokungxamisekileyo ukungahambi kakuhle kwelunguInqanda ukungahambi kakuhle ngokuqinisekisa idatha yemveliso kunye nolwazi lwebhakhowudi kumacandelo otshintsho.

·Useto oluzenzekelayo longqamaniso lwedathaUmatshini ngokwawo uyaqinisekisa, esusa isidingo sokukhetha idatha yokuseta eyahlukileyo.

·Umsebenzi we-InterlockNaziphi na iingxaki okanye ukuphelelwa ukuqinisekiswa kuya kumisa umatshini.

·Umsebenzi wokukhangelaUmsebenzi wokukhangela ukwenza inkqubo yokuqinisekisa icace ngakumbi.

Ngezikhululo zenkxaso, ukusetwa kwenqwelo ye-feeder ngaphandle kweintanethi kunokwenzeka nangaphandle komgangatho wokuvelisa.

• Iintlobo ezimbini zeZitishi zeNkxaso ziyafumaneka.

58 ISikhululo sokuNikezela ngoMbane :UkuSetwa kweNqwelo yoTshintsho lweBatch – Ibonelela ngamandla kuzo zonke iifidi ezikwinqwelo.USeto lweFeeder – lubonelela ngamandla kubaxhasi ngabanye.
59

ISikhululo sokuQinisekisa saMacandelo :Okongeziweyo kwisikhululo sombane, icandelo loQinisekiso lwecandelo longezwa kule modeli.Isikhululo siya kukukhangela sifike kwindawo apho abaxhasi bafuna utshintshiselwano.

Vula ujongano-Ukhetho lonxibelelwano lomamkeli

Iyakwazi ukubeka emgangathweni unxulumano neenkqubo zakho ezisetyenziswayo ngoku.Ibonelela ngonxibelelwano lwedatha kunye nojongano lwethu olusemgangathweni.

60

·IziganekoIziphumo zesiganeko sexesha langempela sezixhobo

·Uqinisekiso lwecandelo lenye inkampaniInxibelelana neenkqubo zokuqinisekisa icandelo lakho

·Idatha yolawulo lwamacandelo

·Icandelo ledatha yobuninzi obuseleyo :Icandelo leziphumo ezishiyekileyo zobuninzi bedatha

·Landela idatha :Idatha yeziphumo edityaniswe neenkcukacha zecandelo (*1) kunye nolwazi lwePCB (*2)

(* 1) Ifuna igalelo lolwazi lwecandelo kunye nokhetho lokuqinisekisa icandelo okanye inkqubo yoqinisekiso yecandelo lenkampani I/F(*2) Ifuna igalelo lolwazi lwePCB ngokhetho lokutshintsha oluzenzekelayo

Inkcazo:

Isazisi somzekelo

NPM-DX

PCB imilinganiselo (mm) *Xa specs elide.umthumeli ukhethiwe

Imo yendlela enye

L 50 × W 50 ~ L 510 × W 590

Indlela yeendlela ezimbini

L 50 × W 50 ~ L 510 × W 300

Ixesha lokutshintshiselana kwePCB *Xa inkcazo emfutshane.umthumeli ukhethiwe

I-2.1 s ( L 275 mm okanye ngaphantsi) 4.8 s ( L 275 mm okanye ngaphezulu ukuya ku-L 460 mm okanye ngaphantsi) *Inokwahluka ngokuxhomekeke kwiinkcukacha ze-PCB.

Umthombo wombane

Isigaba se-3 AC 200, 220, 380, 400, 420, 480 V 5.0 kVA

Umthombo womoya *1

Min.0.5 MPa, 200 L /min (ANR)

Imilinganiselo (mm)

W 1 665 *2 × D 2 570 *3 × H 1 444 *4

IMisa

3 600 kg (Yomzimba ongundoqo kuphela:Oku kohluka ngokuxhomekeke kuqwalaselo lokhetho.)

Intloko yokubeka

Ikhaphukhaphu16-nozzle intloko V2(intloko nganye)

Ikhaphukhaphu8-intloko yenozzle (intloko nganye)

4-intloko yomlomo (intloko nganye)

Max.isantya

46 200 cph(0.078 s/ itshiphu)

24 000 cph(0.150 s/ itshiphu)

8 500 cph (0.424 s/ chip)8 000 cph (0.450 s/ QFP)

Ukuchaneka kokubekwa (Cpk≧1)

±25 μm/Itshiphu yesikwere

± 25 μm/ Itshiphu yesikwere

± 40 μm/QFP

□12 mm Ngaphantsi kwe±25 μm/QFP

□12 mm ukuya

□32 mm

±20 μm/QFP

Imilinganiselo yecandelo (mm)

0201 inxalenye * 5 * 6 / 03015 inxalenye * 50402 inxalenye * 5 ukuya L 6 x W 6 x T 3

0402 inxalenye * 5 ~ L 45 x W 45 okanye L 100 x W 40 x T 12

0603 itshiphu ~ L 120 x W 90 okanye L 150 x W 25 x T 30

Componentsupply

Ukucofa

Tape: 4 / 8 / 12 / 16 / 24 / 32 / 44 / 56 mm

Tape: 4 ~ 56 / 72 / 88 / 104 mm

Ukucofa

4, 8 mm iteyiphu: Ubuninzi.136

Intonga

Max.32 (Isiphakeli ngentonga enye)

*1: Yeyomzimba ophambili kuphela

*2: 2 265 mm ububanzi ukuba iiconveyors ezongeziweyo (300 mm) zibekwe macala omabini.

*3: Dimension D kuquka inqwelo feeder

*4: Ngaphandle kwemonitha, inqaba yesiginali kunye nesigqubuthelo sefeni yesilingi.

*5: 0201/03015/0402 icandelo lifuna umbhobho othile/iteyiphu feeder.

*6: 0201 ukubekwa kwecandelo kukuzikhethela.(Phantsi kweemeko ezichazwe nguPanasonic)

* Ukubekwa kwexesha lobuchule kunye namaxabiso achanekileyo anokwahluka kancinci ngokuxhomekeke kwiimeko.

* Nceda ujonge incwadana yengcaciso ngeenkcukacha.

Hot Tags: panasonic smt chip mounter npm-dx, china, abavelisi, ababoneleli, ihoseyili, thenga,fektri


  • Ngaphambili:
  • Okulandelayo:

  • Bhala umyalezo wakho apha kwaye uwuthumele kuthi